(V)HDL Toolsets

Jay Jaeger cube1 at charter.net
Wed May 20 21:22:17 CDT 2020


As I wrote in my last post, but write here for use as a separate thread:

I'd be interesting in hearing from folks what toolsets they have used
for HDL (VHDL in particular).  I started with Xilinx ISE and then
graduated to Vivado for later chipsets - unfortunately, Vivado seems to
be something of a dog, in terms of time to compile HDL and synthesize logic.

JRJ



More information about the cctech mailing list