How do they make Verilog code for unknown ICs?

Seth Morabito lists at loomcom.com
Mon Jun 20 16:05:33 CDT 2016


* On Mon, Jun 20, 2016 at 04:19:56PM -0400, Paul Koning <paulkoning at comcast.net> wrote:
> 
> I haven't looked for open source Verilog simulators.

I've used Icarus Verilog ('iverilog') in the past. It's pretty bare
bones, but you can feed the output into gnuplot and make reasonable
diagrams from it.

> 	paul

-Seth
-- 
Seth Morabito
seth at loomcom.com


More information about the cctalk mailing list