(V)HDL Toolsets

emanuel stiebler emu at e-bbes.com
Thu May 21 06:45:47 CDT 2020


On 2020-05-20 22:22, Jay Jaeger via cctalk wrote:
> As I wrote in my last post, but write here for use as a separate thread:
> 
> I'd be interesting in hearing from folks what toolsets they have used
> for HDL (VHDL in particular).  I started with Xilinx ISE and then
> graduated to Vivado for later chipsets - unfortunately, Vivado seems to
> be something of a dog, in terms of time to compile HDL and synthesize logic.

I feel your pain ;-)

To deal with the ISE<->Vivado speeds, we always chose a target which is
supported on both. One of the reasons, I have the artix7-100 on all my
boards, makes life much easier.
Then just use ISE for the "quick around" time, and vivado for the tough
stuff.

Vivado was pretty much useless in the first revisions, but now it is at
a stage, where it is really usable. Yes, it is slow :(
On the other hand, the simulator in Vivado got much better, and works
for both, Verilog & VHDL, and also in mixed mode, which helps a lot.

And it is tough to complain about a free tool, which runs on Linux & Win ...

A lot of guys I know, use also GHDL for simulations, if command line is
your thing.


More information about the cctalk mailing list